分享
点赞 收藏
特权同学 Verilog边码边学 Lesson05 组合逻辑

43 · 发布于 2020-05-30 10:58:17

组合逻辑和时序逻辑,这两个最基本的逻辑设计方法,是入门FPGA设计必须搞懂并加以区分的概念。本节视频先从理论讲解组合逻辑与时序逻辑的差异以及它们的设计实现;然后着手进行组合逻辑与时序逻辑的代码设计;最后搭建测试平台,同时对两个代码模块做仿真并分析比对输出波形,通过仿真结果直观的比对两种设计方式的差异
ove学习使我快乐
234粉丝
关注

0条评论

还能输入1000个字符